quick.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

   

space.gif

   

space.gif

  ../../images/main/bullet_green_ball.gif D latch
   

space.gif

  ../../images/main/bulllet_4dots_orange.gif Regular D Latch
   

space.gif


  1 //-----------------------------------------------------
  2 // Design Name : dlatch_reset
  3 // File Name   : dlatch_reset.v
  4 // Function    : DLATCH async reset
  5 // Coder       : Deepak Kumar Tala
  6 //-----------------------------------------------------
  7 module dlatch_reset (
  8 data   , // Data Input
  9 en     , // LatchInput
 10 reset  , // Reset input
 11 q        // Q output
 12 );
 13 //-----------Input Ports---------------
 14 input data, en, reset ; 
 15 
 16 //-----------Output Ports---------------
 17 output q;
 18 
 19 //------------Internal Variables--------
 20 reg q;
 21 
 22 //-------------Code Starts Here---------
 23 always @ ( en or reset or data)
 24 if (~reset) begin
 25   q <= 1'b0;
 26 end else if (en) begin
 27   q <= data;
 28 end
 29 
 30 endmodule //End Of Module dlatch_reset
You could download file dlatch_reset.v here
   

space.gif

   

space.gif

   

space.gif

   

space.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

  

Copyright © 1998-2014

Deepak Kumar Tala - All rights reserved

Do you have any Comment? mail me at:deepak@asic-world.com