quick.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

   

space.gif

   

space.gif

  ../images/main/bullet_star_pink.gif Implicit bins creation

In any covergroup definition, bins needs to be defined, when they are not defined, simulator infers the coverage bins automatically, these bins are called implicit bins.

   

space.gif

   

space.gif

  ../images/main/4blue_dots_bullets.gif Example : Implicit bins creation
   

space.gif


  1 module test();
  2 
  3 logic [7:0] addr;
  4 reg ce;
  5 
  6 covergroup address_cov () @ (posedge ce);
  7   ADDRESS : coverpoint addr {
  8      // Set this option to limit number of auto bins created
  9      option.auto_bin_max = 10;
 10     // See no bins are declared here, Not a good idea
 11   }
 12 endgroup
 13 
 14 address_cov my_cov = new();
 15 
 16 initial begin
 17   ce   <= 0;
 18   addr <= 0;
 19   $monitor("ce %b addr 8'h%x",ce,addr);
 20   repeat (10) begin
 21     addr = $random();
 22     ce <= 1;
 23      #10 ;
 24     ce <= 0;
 25      #10 ;
 26   end
 27 end
 28 
 29 endmodule
You could download file implicity_bin.sv here
   

space.gif

  ../images/main/4blue_dots_bullets.gif Simulation : Implicit bins creation
   

space.gif

 ce 1 addr 8'h00
 ce 0 addr 8'h00
 ce 1 addr 8'h81
 ce 0 addr 8'h81
 ce 1 addr 8'h09
 ce 0 addr 8'h09
 ce 1 addr 8'h63
 ce 0 addr 8'h63
 ce 1 addr 8'h0d
 ce 0 addr 8'h0d
 ce 1 addr 8'h8d
 ce 0 addr 8'h8d
 ce 1 addr 8'h65
 ce 0 addr 8'h65
 ce 1 addr 8'h12
 ce 0 addr 8'h12
 ce 1 addr 8'h01
 ce 0 addr 8'h01
 ce 1 addr 8'h0d
 ce 0 addr 8'h0d
   

space.gif

  ../images/main/4blue_dots_bullets.gif Report : Implicit bins creation
   

space.gif

 Group : test::address_cov
 ===========================================================
 Group : test::address_cov
 ===========================================================
 SCORE  WEIGHT GOAL   
  40.00 1      100    
 -----------------------------------------------------------
 Summary for Group   test::address_cov
 
 CATEGORY  EXPECTED UNCOVERED COVERED PERCENT 
 Variables 10       6         4       40.00   
 
 Variables for Group  test::address_cov
 
 VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT 
 ADDRESS  10       6         4       40.00   100  1      
 -----------------------------------------------------------
 Summary for Variable ADDRESS
 
 CATEGORY                     EXPECTED UNCOVERED COVERED PERCENT 
 Automatically Generated Bins 10       6         4       40.00   
 
 Automatically Generated Bins for ADDRESS
 
 Uncovered bins
 
 NAME                            COUNT AT LEAST NUMBER 
 [auto[25:49] - auto[50:74]]     --    --       2      
 [auto[150:174] - auto[225:255]] --    --       4      
 
 Covered bins
 NAME          COUNT AT LEAST  
 auto[0:24]    6     1        
 auto[75:99]   1     1        
 auto[100:124] 1     1        
 auto[125:149] 2     1        
   

space.gif

   

space.gif

   

space.gif

   

space.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

  

Copyright © 1998-2014

Deepak Kumar Tala - All rights reserved

Do you have any Comment? mail me at:deepak@asic-world.com