quick.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

   

space.gif

   

space.gif

  ../images/main/bullet_green_ball.gif Introduction

In this chapter we will be covering following topics in detail.

   

space.gif

  • White Space
  • Comments
  • Vera Identifiers
  • Vera Reserved Names
  • String Constants
  • Numbers
  • Statements
  • Statements Blocks
  • Assignment
  • Compound Assignment
   

space.gif

  ../images/main/bulllet_4dots_orange.gif White Space

White space is any sequence of spaces, tabs, newlines, and formfeeds. White space is used in Vera as a token separator. Except within a string, white space is ignored.

   

space.gif

   

space.gif

  ../images/main/bulllet_4dots_orange.gif Comments

Vera supports two types of comments as in Verilog and C language.

   

space.gif

  • Single line comment
  • Multi line comment
   

space.gif

Single Line Comment

A single-line comment starts with a double slash (//) and finishes out the line.

   

space.gif

Multi Line Comment

A block statement starts with a /* and ends with a */. Everything between the start and end tags is a comment.

   

space.gif

  ../images/main/bullet_star_pink.gif Example - Comments
   

space.gif


 1 program comments {
 2  // This is single line comment
 3  integer i ; // This is another comment
 4 
 5  /* This is multi
 6     line comments,
 7    like in Verilog */
 8 
 9 }
You could download file comments.vr here
   

space.gif

  ../images/main/bulllet_4dots_orange.gif Identifiers

An identifier is a user selected name used in an Vera language. It is composed of a sequence of letters [a-zA-Z], digits[0-9] and underscores[_]. Identifiers are case-sensitive and cannot begin with a digit.

   

space.gif

  ../images/main/bullet_star_pink.gif Example - Identifiers
   

space.gif


 1 program identifiers {
 2   // Valid identiers
 3   integer valid_name_2;
 4   integer address_Bus;
 5   // Invalid identifiers
 6   bit [7:0]  9_addr_bit;
 7 }
You could download file identifiers.vr here
   

space.gif

   

space.gif

   

space.gif

   

space.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

  

Copyright © 1998-2014

Deepak Kumar Tala - All rights reserved

Do you have any Comment? mail me at:deepak@asic-world.com