quick.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

   

space.gif

   

space.gif

  ../images/main/bullet_green_ball.gif Introduction

Typically in verification flow, we do code coverage, but doing code coverage shows only if all the lines of the DUT is executed, if all the possible cases of a expression are covered. Which is kind of ok to start with, but it is no way good for saying that verification is completed.

   

space.gif

For declaring a verification to be complete we need to check also if all the functions of DUT are tested or not. Like to say if read followed by write to same address of a memory did it happen or to say if 64 Bytes packets happened when port mirroring is enabled.

   

space.gif

Typically when we start documenting test cases in test plan document, we also start documenting functional coverage plan. So when we start coding testbench, we code coverage along testbench. Vera language gives very good set of features that are useful in measuring coverage.

   

space.gif

There are 4 places where functional coverage points can be coded in a verification enviroment, and they can be classfied as

   

space.gif

  • F1 : Functional coverage points are very near the randomization
  • F2 : Functional coverage points are sampled at input interface of DUT
  • F3 : Functional coverage points which sample internal DUT states
  • F4 : Functional coverage points which sample output interface of DUT
   

space.gif

Below figure shows all the 4 types.

   

space.gif

../images/vera/coverage.png
   

space.gif

  ../images/main/bulllet_4dots_orange.gif F1 Coverage points

These set of coverage points are coded in class which is instantiated very near to the randomization and it is before actual BFM/driver to DUT. There is problem with F1 type coverage points. Assume for some reason, BFM/Driver is not able to send randomizated object to DUT, even then functiona coverage gets updated. This is not acceptable.

   

space.gif

   

space.gif

  ../images/main/bulllet_4dots_orange.gif F2 Coverage points

These set of coverage points are coded in class which is instantiated inside a input monitor or coverage class itself will have ablitiy to sample the DUT input signals. This is perfect for having functional coverage on stimulus that DUT is being driven with,

   

space.gif

  ../images/main/bulllet_4dots_orange.gif F3 Coverage points

These set of coverage points are coded in class which is instantiated as standalone class, and it monitors the internal states of DUT, like FSM states, or some registers. I have rarely come across these kind of coverage points. Also with advent of SVA cover properties, I see little use of F3 functional coverage types.

   

space.gif

  ../images/main/bulllet_4dots_orange.gif F4 Coverage points

These set of coverage points are coded in class which is instantiated inside a output monitor or coverage class itself will have ablitiy to sample the DUT output signals. This is perfect for having functional coverage on output of DUT,

   

space.gif

   

space.gif

   

space.gif

   

space.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

  

Copyright © 1998-2014

Deepak Kumar Tala - All rights reserved

Do you have any Comment? mail me at:deepak@asic-world.com